library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Programmcode2 is Port (Adresse : in bit_vector(15 downto 12); -- Adresse sollen A15..A12 eines Adressbusses sein MREQ : in STD_LOGIC ; RD : in STD_LOGIC ; CS_ROM : out STD_LOGIC); end Programmcode2; architecture Behavioral of Programmcode2 is begin CS_ROM <= '0' when Adresse="0000" AND MREQ = '0' AND RD = '0' ELSE '1'; end Behavioral;