library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ENTITY PortExpander IS PORT ( INPUT : IN STD_LOGIC_VECTOR (7 downto 0); OUTPUT : OUT STD_LOGIC_VECTOR (63 downto 0) ); END PortExpander; ARCHITECTURE Behavioral OF PortExpander IS BEGIN OUTPUT(TO_INTEGER(UNSIGNED(INPUT(5 downto 0)))) <= INPUT(6) WHEN INPUT(7)='1'; END Behavioral;