Reading design: berechnen.prj ========================================================================= * HDL Compilation * ========================================================================= Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/konstanten.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/bedienung.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/Eingang.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/multipli.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/Takteingang.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/dividi.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/multi_cod.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "D:/Praktikum_GSSE/9_Beleg/xilinx/222/berechnung.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. ========================================================================= * Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). ========================================================================= * HDL Analysis * ========================================================================= Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). ERROR:Portability:3 - This Xilinx application has run out of memory or has encountered a memory conflict. Current memory usage is 2089816 kb. You can try increasing your system's physical or virtual memory. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support. Process "Synthesis" failed