EmbDev.net

Forum: FPGA, VHDL & Verilog Quartus II TCL script to try multiple fitter seed settings?


Du wurdest von Mikrocontroller.net auf diese Seite weitergeleitet. Zurück zu Mikrocontroller.net
von andi6510 (Guest)


Rate this post
useful
not useful
Hi Folks,

I am developping a bigger project on an Altera FPGA with Quartus II 
(Lite Edition). Once the FPGA becomes fuller and fuller, it has turned 
out that I get considerable differences in timing results depending on 
the fitter initial seed value.

I now want to try a larger number of seed values automatically with a 
tcl script. That script should set a seed value, run the fitter, then 
run the timing analysis and finally print out the worst case slack. Then 
it should increment the seed value by one and restart form beginning 
until a certain seed value is reached.

My problem: I have no idea about how tcl works. So I do not even know 
where to start.

Does anybody have a sample script I could base my script on that would 
help me to get through the task of writing such a script? Or maybe 
someone has already wrote such a script and would share it here?

Remark: From old Xilinx times I know that ISE had such a functionality 
build in. Even though I like Quartus much more than the old ISE, this is 
the first function I am really missing.

von Duke Scarring (Guest)


Rate this post
useful
not useful
If you are not familiar with tcl, you can use a bash script or a 
makefile to call the quartus software:
1
PROJECT = top
2
BLASTER_IDENT = "USB-Blaster(Altera)"
3
4
map:
5
  quartus_map $(PROJECT)
6
7
fit:
8
  quartus_fit $(PROJECT)
9
10
asm:
11
  quartus_asm $(PROJECT)
12
13
program: 
14
  quartus_pgm -c $(BLASTER_IDENT) -m jtag -o "p;output_files/$(PROJECT).sof"
Of course you need to set then new parameter first:
1
set_global_assignment -name SEED <value>

For options see:
https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/manual/mnl_qsf_reference.pdf

Duke

von Markus F. (mfro)


Rate this post
useful
not useful
No need to fiddle with tcl scripts if you don't want to.

This is exactly what Design Space Explorer (that is part of every 
Quartus installation since ages) is meant for.

: Edited by User
von andi6510 (Guest)


Rate this post
useful
not useful
Ok thanks a lot for both answers! Didn't know about Design Space 
Explorer. That tool is exactly what I need!
But good to know that scripting is also possible via batch files.

von SALSABILE EL-HAJJAJI (Guest)


Attached files:

Rate this post
useful
not useful
Hello everybody
    I make a project of a PACMAN game in Quartus, and I really need help 
because it's the first time I work on VHDL / FPGA
my task is to import the fruits and to position them on the labyrinth
please help me
-------------------------------------------------
bonjour tout le monde
   Je fait un projet d'un jeu PACMAN en Quartus , et j'en ai vraiment 
besoin d'aide car c'est la 1ère fois que je travaille sur VHDL/FPGA
ma tache est d'importer les fruits ainsi de les positionner sur 
labyrinthe
s'il vous plait aidez-moi

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.