Forum: FPGA, VHDL & Co. einbinden von Opencore IPs in Lattice Lever


von uwe (Gast)


Lesenswert?

Hallo, arbeite mich momentan in die Entwicklungsumgebung von Lattice 
ein. Lattice bietet leider ja eine recht übersichliche Anzahl von IP 
Cores im MSB an, brüstet sich aber damit, dass die Cores von Opencores 
zu nutzen sind. Hab mir einen Wishbone Bus fähigen Core gezogen, finde 
aber keine Informationen zum Einbinden in die Entwicklungsumgebung.  Ich 
möchte die nicht über den TopLevel über Verilog oder VHDL einbinden, 
sondern in der MSB Umgebung zum Design hinzufügen.

Hat jemand von euch auch Erfahrungen oder Informationen diesbezüglich?

mfg

von Arndt B. (Firma: Helion GmbH) (bussmann)


Lesenswert?

Hallo Uwe,

da kann ich Dir das Developer User Guide (und nicht das Tutorial!!!) von 
Lattice sehr empfehlen:
lm32_swdevug.pdf
LatticeMico32 Software
  Developer User Guide

Ab S. 87,
Creating Custom
Components in
LatticeMico32 System

Komplett durcharbeiten. Der Arbeistfluss ist mit vielen Bildern und 
Erläuterungen dort erklärt. Sehr eingängig ist das Beispiel ab S. 131. 
Die Menge der Informationen täuscht über die Einfachheit des Prozesses 
hinweg ;-)

Wenn es dann einige Male selbst gemacht hat (z.B. auch mit der 
automatischen Softwareeinbindung), möchte man eigentlich nur noch so IPs 
einbinden. Die GUI könnte noch etwas angenehmer sein, aber es 
funktioniert ;-)

Viel Erfolg
Arndt

von Lupinus Digitalus (Gast)


Lesenswert?

Hallo Uwe,

ich kann mich Arndt nur anschliessen. Das (oder heisst es der MSB) 
bietet eine echt komfortable GUI um Wishbone Komponenten einzubinden.

Habe auf diese Weg auch schon eigene Komponenten wie Floating Point 
Units erfolgreich eingebunden.

Gruss

Lupinus

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.