Forum: FPGA, VHDL & Co. Wave Simulation DEMUX


von Nico T. (dresdener)


Lesenswert?

Guten tag Menschenretter,
Ich brauche mal hier eure Hilfe
Ich habe versucht Demultiplexer 4:1 mit Altera zu programieren, und 
wollte eine kleine erklärung für die Vector waveform simulation..
Ich würde mich sehr freuen wenn ihr mir ein Bildschen von der Simulation 
schickt mit einer Netten Erklärung dabei..
vielen Dank
hier ist das Programm
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity demux is
port( X: in std_logic;
S: in std_logic_vector (1 downto 0);
Y1: out std_logic;
Y2: out std_logic;
Y3: out std_logic;
Y4: out std_logic);
end demux;

architecture behaviour of demux is
begin
process(S,X)
begin
case S is
when "00"=>
Y1 <=X;
Y2<= '0';
Y3<= '0';
Y4<= '0';
when "01" =>
Y2<=X;
Y1<='0';
Y3<='0';
Y4<='0';
when "10" =>
Y3 <=X;
Y1 <='0';
Y2 <='0';
Y4 <='0';
when others =>
Y4<=X;
Y1 <='0';
Y2 <= '0';
Y3 <='0';
end case;
end process;
end behaviour;

von Rick Dangerus (Gast)


Lesenswert?

> Ich würde mich sehr freuen wenn ihr mir ein Bildschen von der Simulation
> schickt mit einer Netten Erklärung dabei..

Sollen wir Deine Hausaufgabe machen?

> hier ist das Programm
1
use IEEE.STD_LOGIC_ARITH.ALL;
2
use IEEE.STD_LOGIC_UNSIGNED.ALL;
Bitte nicht verwenden. Das ist kein Standard, auch wenn es so aussieht.
Brauchst Du bei Deinem Beispiel auch gar nicht.

Rick

von Nico T. (dresdener)


Lesenswert?

Danke schön
Ich habe es schon selbst gemacht, Ich brauche nur die Korrektur..
Ich habe es gerade simuliert und das läuft prima..
Ich wollte Nur auf Nummer sicher gehen.
Danke Dir für deinen netten Type..:-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.