Forum: FPGA, VHDL & Co. Probleme mit IP-Core an Microblaze


von Patrick (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

Ich habe hier auf einem Virtex-II (XUP) einen Microblaze laufen, der 
auch soweit funktioniert. Ebenso habe ich bereits über Xilinx EDK einen 
IP-Block eingebunden der mir fest generierte (im VHDL-Code vorgegeben) 
Ausgaben liefert - funktioniert auch tadellos. Probleme habe ich 
allerdings bei der Übergabe von Daten aus dem C-Programm.

Der IP-Block (regtest) ist die einfachste Variante (ohne MIR, FIFOs, DMA 
etc.) als Slave und soll schlichtweg die aufgenommenen Daten speichern 
und auf Wunsch wieder ausgeben. Hierzu habe ich eine FSM mit drei States 
implementiert: Idle, Lesen, Schreiben. Der Code dazu findet sich im 
Anhang. Funktioniert im ModelSim als ISE-Projekt ohne Probleme.

Der C-Code für den Microblaze sieht so aus:
1
#include "xparameters.h"
2
#include "xbasic_types.h"
3
#include "xstatus.h"
4
#include "regtest.h"
5
6
int delay = 1000000, j;
7
8
void wait(int sleep)
9
{
10
  j = 0;
11
  while(j < sleep) j++;
12
}
13
14
int main(void)
15
{
16
  Xuint32 baseaddr;
17
  Xuint32 data;
18
  
19
  baseaddr = XPAR_REGTEST_0_BASEADDR;
20
21
  data = 123;
22
  REGTEST_mWriteReg(baseaddr, 0, data);
23
  xil_printf("input: 0x%08x\r\n", data);
24
  
25
  wait(delay);
26
27
  data = REGTEST_mReadReg(baseaddr, 0);
28
  xil_printf("output: 0x%08x\r\n", data);
29
}


Es soll also einfach "123" an den IP-Block geschrieben werden, der 
entsprechend speichert und beim Read-Request das gleiche wieder 
zurückgibt. Das ganze läuft über RS232. Als Ergebnis bekomme ich aber 
leider nur 0 zurück. Der FSM würde ich einfach mal unterstellen, dass 
sie korrekt ist - liegt das Problem am C-Code?

Wäre um jede Hilfe sehr dankbar!

Grüße,
Patrick

von Rick Dangerus (Gast)


Lesenswert?

Hast Du chipscope zur Verfügung? Oder kannst Du per LEDs o.ä. ermitteln, 
ob Deine Daten bis zum IP-Core kommen?

Rick

von Tobias K. (tobias123)


Lesenswert?

Hallo,

hast Du ein Tutorial von Xilinx gefunden, in dem Beschrieben wird, wie 
man einen eigenen IP-Core entwickelt und über den (GPIO)Bus an dem 
MicroBlaze anschließt?
Möchte gern einen Signalgenerator (später dds [direct-digital-synthesis] 
für Quadraturdemodulation) in Hardware (VHDL) an den Microblaze 
anschließen, der diesen Steuerbefehle (Frequenz, Amplitude, ...) 
schicken kann.

Gruß Tobias

von Duke Scarring (Gast)


Lesenswert?

@Tobias:
Ich würde das nicht über GPIO machen, sonderen die Register in den 
Speicher mappen. Da braucht man dann in der Software keine Verrenkungen 
zu machen.

Duke

von sdf (Gast)


Lesenswert?

j würde ich nicht global sondern local in wait deklarieren.

Braucht der UART nicht Interrupts? sind diese enabled?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.