Forum: FPGA, VHDL & Co. Puffer in VHDL


von Yassin A. (Firma: keine) (atlas)


Lesenswert?

Hallo erstmal an alle,
ich bin ein VHDL-Anfänger und möchte gern weissen, ob sich datenpuffer 
am besten mit Speicherbausteine (D-Flipflop beispielsweise) oder nur mit 
bit_Vector in VHDL programmieren lässt?
Danke im Vorraus.

von Gast (Gast)


Lesenswert?

Wenn Du einen bit_vector oder ein Signal mit beliebigem anderen Typ 
definierst, werden die Daten so oder so in entsprechend viele FFs 
abgelegt. Du musst also nicht per Hand damit rumspielen, außer Du willst 
das unbedingt :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.