Forum: FPGA, VHDL & Co. Problem mit der Zuweisung von Prameters bei generate


von Hans-Werner (Gast)


Angehängte Dateien:

Lesenswert?

Bin ein Stück weiter mit meiner Enigma Maschine.
Kann vielleicht freundlicherweise jemand mal den folgenden Code schecken 
?
Ich erhalte einen Fehler bei der Kompilierung.

HDLParsers:3324 - "E:/Programmieren/VHDL/EDA Design/Xilinx ISE
   Projekte/Enigma2/Enigma.vhd" Line 267. IN mode Formal lookup of 
rotor_array
   with no default value must be associated with an actual value.

Es geht um folgende Zeile am Ende der Datei:

rotor_array generic map (address_width, data_width, number_of_rotors)

von Rick Dangerus (Gast)


Lesenswert?

ghdl spuckt Folgendes aus:
1
enigma.vhd:272:58: cannot associate in signal interface "read_address" with actual port of mode out
2
enigma.vhd:272:71: cannot associate in signal interface "read_enable" with actual port of mode out

Ich würde ja leserlicherweise explizit hinschreiben, welches Signal auf 
welchen Port gemapt wird:
1
port map (
2
  clock => clock, 
3
  data_in => data_in, 
4
  loaded => loaded, 
5
  hit => hit, 
6
  data_out => data_out, 
7
  reset => reset, 
8
  init => init,
9
  -- die fehlen noch bei Dir:
10
  read_address => x"00",
11
  write_enable => '0',
12
  read_enable => '0',
13
  lookup => '0',
14
  rotate => '0'
15
);

Bei den generics übrigens auch. Es geht nur selten aus der Komponente 
hervor, in welcher Reihenfolge die Ports sind.

Rick

von Hans-Werner (Gast)


Lesenswert?

Vielen Dank für die Antwort.
Die Zuweisungen wollte ich noch hinzufügen.
Versuch mich aber erst seit kurzem an VHDL.
Wer oder was ist ghdl ?
Wo kriegt man das ? Was kostet das ? Und was kann man damit machen ?
Ich hoffe ich kriege dieses grössere Beispiel (Enigma) hin und später 
auch auf das Spartan Board.

Bis demnächst in dieser Galaxis

von Gast (Gast)


Lesenswert?

http://ghdl.free.fr

Ist ein OpenSource VHDL Simulator

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.