Hallo,
ich habe mir das Spartan 3E Starter Kit gekauft. Fange erst jetzt mit
FPGA an.
Ich habe mir ein Project mit ISE erstellt. Folgende Einstellungen
benutzt:
Family: Spartan3E
Device: XC3S500E
Package: CP132
Speed: -5
Hab einen kleinen counter in VHDL geschrieben:
1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | use IEEE.STD_LOGIC_ARITH.ALL;
|
4 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
5 |
|
6 | ---- Uncomment the following library declaration if instantiating
|
7 | ---- any Xilinx primitives in this code.
|
8 | --library UNISIM;
|
9 | --use UNISIM.VComponents.all;
|
10 |
|
11 | entity counter is
|
12 | Port ( CLOCK : in STD_LOGIC;
|
13 | DIRECTION : in STD_LOGIC;
|
14 | COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0));
|
15 | end counter;
|
16 |
|
17 | architecture Behavioral of counter is
|
18 |
|
19 | signal count_int : STD_LOGIC_VECTOR (3 downto 0) := "0000";
|
20 |
|
21 | begin
|
22 |
|
23 | process (CLOCK)
|
24 | begin
|
25 | if CLOCK='1' and CLOCK'event then
|
26 | if DIRECTION='1' then
|
27 | count_int <= count_int + 1;
|
28 | else
|
29 | count_int <= count_int - 1;
|
30 | end if;
|
31 | end if;
|
32 | end process;
|
33 |
|
34 | COUNT_OUT <= count_int;
|
35 |
|
36 | end Behavioral;
|
Hab jetzt aber ein Problem beim Verbinden der PINS. Im User Manual:
http://www.xilinx.com/support/documentation/boards_and_kits/ug230.pdf
steht das folegnde PINs zu den LEDs führen:
NET "LED<7>" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET "LED<6>" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8 ;
NET "LED<5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
NET "LED<4>" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
NET "LED<3>" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
NET "LED<2>" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
NET "LED<1>" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
NET "LED<0>" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8
;
Wenn ich aber E12 Verbinden will, geht es nicht. Genau so bei E11 und
F11.
F12 geht. Wieso ist das so? Ist das der falsche FPGA? Was mache ich
falsch?
Vielen Dank im voraus für die Hilfe.