Forum: FPGA, VHDL & Co. array of std_logic_vector rotieren


von Hans-Werner (Gast)


Lesenswert?

Wie kann ich ein Signal vom Type Array of std_logic_vector im Array 
rotieren ?
Das ist ja wohl eindeutig falsch (Eins nach links):

rotor <= (rotor(rotor'left+1 to rotor'right), rotor(rotor'left));

Das mit den Aggregaten habe ich anscheinend falsch verstanden.

Dank euch

von Lehmgo (Gast)


Lesenswert?

vector_new <= vector_alt( n-1 downto 0) & vector_alt (n);

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.