Forum: FPGA, VHDL & Co. Power Up Sequenz bei Xilinx Coolrunner-II


von Nils Stahlhut (Gast)


Lesenswert?

Hallo Leute,

was die Programmierung von CPLDs an geht bin ich noch etwas neu. Ich 
habe das Problem das beim hochlaufen der Betriebsspannung an einem 
Ausgang der eigentlich low sein sollte, so lange ein Spannungsimpuls 
abgegeben wird bis das Niveau der Betriebsspannung erreicht ist.

Verwendet wird ein XC2C256 und als Software die ISE 9.2i

Gibt es eine Möglichkeit dieses Verhalten während der Power-Up-Sequenz 
zu unterbinden?

von Rick Dangerus (Gast)


Lesenswert?

Hilft ein externer Pull-down?

Rick

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.