Forum: FPGA, VHDL & Co. sinnvolle packages für synthese


von Nik (Gast)


Lesenswert?

Hallo,

über die Verwendung von den unterschiedlichen verfügbaren Packages gibt 
es ja jede Menge meinungen im Netz und in den Foren.

Soll Code auch synthetisiert werden, sind scheinbar die folgenden 
Packages das Beste:
1
ieee.std_logic_1164
2
ieee.NUMERIC_STD

Ist das richtig?
Ich hab bisher leider keine Doku zu den Packages gefunden. Kann mir da 
jemand nen guten Tipp geben?


Besten Dank,
Nik

von Rick Dangerus (Gast)


Lesenswert?

Ja, für neue Entwürfe sollte
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
verwendet werden. Damit kannst Du mit signed und unsigned gleichzeitig 
rechnen.

Du kannst in üblicherweise in die Packages reingucken. Die sind als 
VHDL-Code verfügbar. Da stehen die entsprechenden Typedefinitionen drin, 
sowie die verschiedenen Funktionen. Außerdem sollten sich einige 
brauchbare Funktionsübersichten ergoogeln lassen.

Rick

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.