Forum: FPGA, VHDL & Co. Konstante STD_LOGIC_Vector (7 Downto 0)?


von 655432 (Gast)


Lesenswert?

Hallo,

ich blicke hier nicht so ganz durch. Ich soll folgende Konstante 
ermitteln

constant a: std_logic_vector(7downto 0) := x"A1";

Als Ergebnis ist angegeben

A1 = 1010 0001 = 256 + 64 + 1 = 311

Könnte mir das bitte mal einer erläutern? Ich grübel schon eine ganz 
Weile.

Thanks!

von Rick Dangerus (Gast)


Lesenswert?

Aha. Bei mir ist 0xA1 = 0b10100001 = 128 + 32 +1 = 161 = 0xA1.

Rick

von 655432 (Gast)


Lesenswert?

Und wie kommste jetzt darauf?

von Martin K. (mkohler)


Lesenswert?

655432 wrote:
> Und wie kommste jetzt darauf?

2^7 = 128
...
2^0 = 1

von lkmiller (Gast)


Lesenswert?

Basics, die Erste:
1
2
4
8
16
32
64
128
256
512
1024
2048
4096
:
:
:

Basics, die Zweite:
2^10 = 1K
2^20 = 1M
2^30 = 1G

von 65432 (Gast)


Lesenswert?

OK danke für die Auskunft! In den Unterlagen von dem Prof. ist das echt 
bescheiden erklärt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.