Forum: FPGA, VHDL & Co. Rom initialisieren


von VHDL-Noob (Gast)


Lesenswert?

Ich möchte distributed ram in vhdl initialisieren und zwar nicht 
statisch über Klammern sondern mit Werten aus einer Datei. Wie die 
Dateizugriffe funktioneiren glaube ich zu wissen aber die Zuweisung auf 
die Ramzellen scheinen in Modellsim wirklungslos zu sein:

Beispiel:

 type tram is array 8 downto 1 of natural range  3 downto 0;
 signal ram : tram;

process

 begin
  for i in 1 to 8 loop
   ram(i) <= 2;
  end loop;
  wait;
 end process;

Warum sehe ich die Initialisierung nicht in Modelsim?

von Thomas Ulrich (Gast)


Lesenswert?

Was soll das wait? Wie kommt er da drüber ?

von VHDL-Noob (Gast)


Lesenswert?

>Was soll das wait? Wie kommt er da drüber ?
Damit der Compiler nicht meckert

Problem ist jetzt gelöst!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.