Hallo,
ich hab folgendes Problem:
Ich moechte einen std_ulogic_vector in einen integer konvertieren
(mit der numeric_std - lib!)
Hier sind die wesentlichen Teile des Codes dargestellt:
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 | use ieee.numeric_std.all;
|
4 |
|
5 | signal hex_number : std_ulogic_vector(7 downto 0);
|
6 | signal chksum_reg : integer range 0 to 255;
|
7 |
|
8 | chksum_reg <= to_integer(unsigned(to_stdlogicvector(hex_number)));
|
Folgender Fehler wird angezeigt:
identifier (UNSIGNED) is not visible, as it is directly visible via use
clauses from more than one design unit. This results in conflict. This
object is defined at:
IEEE.STD_LOGIC_ARITH
IEEE.NUMERIC_STD
zum Vergleich mit der ieee.std_logic_arith.all - lib
(funktionstuechtig):
chksum_reg <= conv_integer(unsigned(hex_number));
Vielleicht kann mir jemand da weiterhelfen? - Danke im voraus!