Forum: FPGA, VHDL & Co. integer zu logic_vector und zurück


von Detlef _. (detlef_a)


Lesenswert?

Hallo Experten,

wieso ändert denn die letzte Zeile des folgenden Codes sig_char !!??
Reale hardware, ISE 7.1
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
use IEEE.NUMERIC_STD.ALL;  
6
....
7
signal sig_char : std_logic_vector(7  downto 0);
8
sig_char <= "01010101";
9
sig_char <= conv_std_logic_vector(conv_integer(sig_char),8);

Cheers
Detlef

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Wenn du numeric_std einbindest, dann verwende es doch auch (und entferne 
_arith und _unsigned):
1
signal sig_char : unsigned(7  downto 0);
2
sig_char <= to_unsigned(to_integer(sig_char),8);

von Detlef _. (detlef_a)


Lesenswert?

Ja, danke.

Cheers
Detlef

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.