Hallo
Eigentlich hat der Spartan ja Multiplizierer drin und laut User Guide
sollte ISE diese auch verwenden, wenn ein Multiplikationszeichen
vorkommt.
Nun bekomm ich allerdings folgenden Fehler:
ERROR:HDLParsers:808 - "H:/VHDL/vga/framebuffer_addrgen.vhd" Line 46. *
can not have such operands in this context.
1 | architecture Behavioral of framebuffer_addrgen is
|
2 | signal saddr : std_logic_vector(16 downto 0);
|
3 | begin
|
4 | generate_address : process(clk)
|
5 | begin
|
6 | if clk = '1' and clk'event then
|
7 | saddr <= row * 320; -- <== Zeile 46
|
8 | end if;
|
9 | end process;
|
10 | addr <= saddr;
|
11 | end Behavioral;
|
Was läuft hier falsch?
Gruss
Michael