Forum: FPGA, VHDL & Co. Dual Port Ram initalisieren


von Mario B. (mgborer)


Angehängte Dateien:

Lesenswert?

Hallo zusammen

wie kann ich einen Array von std_logic_vectors mit einem bestimmten Wert 
initalisieren (aktuell mal 0)? Der Grund liegt darin, dass ich zu Beginn 
der Simulation einen definierten Wert im DP-Ram stehen haben möchte.

Danke und Gruess
Mario

von Eman (Gast)


Lesenswert?

In der Testbench sind doch die In- und Out-Ports als Signale definiert, 
und denen gibst du einfach Startwerte mit ":= (others => '0')".

von Mario B. (mgborer)


Lesenswert?

Eman wrote:
> In der Testbench sind doch die In- und Out-Ports als Signale definiert,
> und denen gibst du einfach Startwerte mit ":= (others => '0')".

Hallo Eman

danke, das stimmt. Würde ich glaub auch so machen. Aber wie gehst Du vor 
wenn  folgendes da steht:

type ram_type is array (0 to 2**A'length-1) of std_logic_vector 
(DI'range);
signal RAM : ram_type;

Wie initalisiere ich dieses Array per default auf 0 Werte?

Gruess
Mario

von Da M. (damicha)


Lesenswert?

ganz einfach:

signal RAM : ram_type := (others => (others => '0'));

von Mario B. (mgborer)


Lesenswert?

Hallo Da Micha

Danke für den Tipp. Hab ich wieder mal was über Verschachtelung gelernt. 
Merci.

Gruess
Mario

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.