Forum: FPGA, VHDL & Co. Error: Top-level design entity "Umsetzer" is undefined


von The one (Gast)


Lesenswert?

Hallo zusammmen,

ich haben ein VHDL Programm unter Quartus II codiert, welches den Aiken 
in den 3-Excess Code und umgekehrt umsetzt (siehe Anhang). Ich bekomme 
folgende Fehlermeldung, mit der ich nicht anfangen kann:

Error: Top-level design entity "Umsetzer" is undefined
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 
warnings
  Info: Allocated 144 megabytes of memory during processing
  Error: Processing ended: Mon Aug 18 22:19:54 2008
  Error: Elapsed time: 00:00:06
Error: Quartus II Full Compilation was unsuccessful. 1 error, 0 warnings

Das Projekt habe ich "Umsetzer" benannt und der VHDL-File ist Auf_4b. 
Ich meine das Programm ist soweit OK. Habe ich vielleicht was bei 
Erstellung der Dateien falsch gemacht.

Danke für eure Hilfe.

von The one (Gast)


Angehängte Dateien:

Lesenswert?

So hier noch die Datei (hat beim ersten mal nicht geklappt).

von The one (Gast)


Lesenswert?

Könnte es vielleicht mit der Lizensierung zusmammenhängen. Ich habe vor 
ein paar Tagen eine neue Lizendatei eingegunden. Eigentlich unlogisch, 
da die Compilierung ja möglich ist. Allerdings zeigen alle Dateien die 
ich jetzt anlege dieses Problem beim compilieren.

von Nephilim (Gast)


Lesenswert?

also das einzige was ich so aufn ersten blick finden konnte war, dass du 
geschrieben hast

"end entity <name>"

aber normal beendet man die entity beschreibung nur mit "end <name>".

vielleicht liegts ja schon daran.

von Jan M. (mueschel)


Lesenswert?

@Nephilim: Sowohl "entity" als auch <name> sind optional, jede beliebige 
Kombination von beiden (auch ohne beides) ist erlaubt. Ich bevorzuge 
"end entity;", das ist einacher bei copy'n'paste bei der Aenderung der 
Namen.

@The one: Aus deinem Post geht nicht hervor, ob es bei dir wirklich eine 
entity mit dem Namen "Umsetzer" gibt? Ich kenne Quartus nicht, aber 
eventuell muss die Topentity standardmaessig den gleichen Namen wie das 
Projekt haben?

von The one (Gast)


Lesenswert?

@Jan M.

Das wars: Das Projekt muss die gleiche Bezeichnung haben wie die entity. 
Manchmal hab ich echt Nagel im Kopf.

von The one (Gast)


Angehängte Dateien:

Lesenswert?

Die Simulation ist auch plausibel.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.