ich habe volgende Meldung bei der Synthese des codes (sehe unten) : Analyzing Entity <float_sqrt> in library <work> (Architecture <behavioral>). WARNING:Xst:1748 - "D:/Xilinx92i/AlgorithmDonald/float_pkg_c.vhdl" line 1448: VHDL Assertion Statement with non constant condition is ignored. INFO:Xst:1749 - "D:/Xilinx92i/AlgorithmDonald/fixed_pkg_c.vhdl" line 2556: report: fixed_pkgDIVIDE(ufixed) Division by zero ERROR:Xst:769 - "D:/Xilinx92i/AlgorithmDonald/fixed_pkg_c.vhdl" line 2559: Operator <INVALID OPERATOR> must have constant operands or first operand must be power of 2 mein code : float16 ist float (6 downto -9) definiert in uniform_pkg library IEEE,ieee_proposed; USE ieee.std_logic_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee_proposed.math_utility_pkg.all; use ieee_proposed.float_pkg.all; use ieee_proposed.fixed_pkg.all; use ieee_proposed.uniform_pkg.all; entity float_sqrt is Port ( a : in float16; clk: in bit; Q : out float16); end float_sqrt; architecture Behavioral of float_sqrt is begin process(clk,a) begin if clk ='1' then if a >0 then Q <= sqrt(a); else Q <= (others=>'0'); end if; end if ; end process; end Behavioral; wie kann ich das umgehen ?? die sqrt funktion ist definiert in float_pkg aber weiss nicht was in fixed_pkg dieses fehler erzeugt
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.