Forum: FPGA, VHDL & Co. Pin Zuweisung


von Gast_1 (Gast)


Lesenswert?

Hallo Alle!
Kann man einem Pin einen wert vom typ std_logic_vector zuweisen?

Port
(
Buffer:out std_logic_vector(15 downto 0);
:
);
Und dann bei Floorplan IO(Webpack Xilinx) so definieren

NET "Buffer" LOC="P..";
:
Danke fuer jede Hilfe.
MFG

von Jörg (Gast)


Lesenswert?

Geht schon, Pinzuweisung wird im zugehörigen UCF-File definiert.
Aber nenn das Signal nicht "Buffer", da's ein reserviertes Wort ist!

Gruss

Jörg

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Du kannst einem Bus mit 16 Signalen nicht einen Pin zuweisen. Sondern du 
musst jedem der 16 Signale einen eigenen Pin zuweisen.
Das Zuweisen machst du üblicherweise nicht mit dem Floorplanner, sondern 
mit dem Constrains-Editor PACE (User Constraints / Assign Package Pins).
Oder direkt im User Constraints File UCF.

In der UCF-Datei sieht das dann so aus:
:
NET "Puffer<0>"  LOC = "P12"  ;
NET "Puffer<1>"  LOC = "P13"  ;
NET "Puffer<2>"  LOC = "P17"  ;
NET "Puffer<3>"  LOC = "P18"  ;
:
NET "Puffer<15>"  LOC = "P11"  ;
:

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.