Forum: FPGA, VHDL & Co. Ist EDK nötig um IPs zu nutzen?


von Andreas N. (poolspieler)


Lesenswert?

Hallo,

ich bin gerade dabei, folgende Bücher zu lesen:
"VHDL-Synthese" um die Syntax zu lernen...
und
"FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version" um ein 
paar Beispiele besser umsetzen zu können

Bis jetzt kann ich also bereits einfache digitale Schaltungen in VHDL 
programmieren und mit Modelsim testen.
Ich arbeite mit dem Spartan3E Starterkit.

Mein Ziel ist aber einen Prozessor Core (z.B. MicroBlaze) in den FPGA zu 
laden - vielleicht sogar Multicore wenn es möglich ist.
Der MicroBlaze soll dann z.B. A/D und D/A Wandler ansteuern...

Meine Frage:
Benötige ich auf jeden Fall das EDK von Xilinx 
(http://www.xilinx.com/ise/embedded/edk_pstudio.htm)?
Bzw. ist es eine lohnende Vereinfachung bei so einer 
Entwicklungsaufgabe?
Denn wie es aussieht muss man halt 500€ dafür investieren - was ja nicht 
das Problem sein soll, wenn es sinnvoll ist...
Bis jetzt habe ich mir die Demoversion noch nicht heruntergeladen, weil 
sie nur 2 Monate läuft - werde ich erst machen, wenn ich eine konkrete 
Anwendung dafür habe.

Wie es aussieht ist bei EDK auch eine IDE für GNU-Tools - sprich gcc und 
Co dabei - oder?

Wie muss mann vorgehen, wenn man eine beliebige IP von 
http://www.opencores.com/browse.cgi/by_category?filter1=&filter2=language_verilog 
nutzen will? Braucht man dafür auch EDK?
Ich habe mir mal eine beliebige (einfache) IP dort heruntergeladen und 
mich mit dem ISE WebPack daran versucht - bin aber kläglich gescheitert.
Eine Anleitung zum einbinden von IPs im Netz habe ich erstmal nicht 
gefunden. Und mit obigen Büchern bin ich erst zu Hälfte durch... ;-)

Wäre nett, wenn mir jemand eine Anregung zur Nutzung von IPs geben 
könnte.

Gruß,

Andreas

von Klaus F. (kfalser)


Lesenswert?

Das EDK wird nur für den MicroBlaze Prozessor (oder den embedded PowerPC 
der Virtex Familie) gebraucht.
Alle anderen IP's brauchen das EDK nicht.
Bei den IP's gibts auch verschiedene Varianten.
Wenn das Modul als Quell-Code kommt, dann braucht man es nur compilieren 
und einbinden. Wenn Du das noch nicht schaffst, dann mußt Du zuerst 
Deine VHDL Kenntnisse verbessern.
Manche IP's, zum Beispiel die mit dem CoreGenerator (Xilinx) erzeugten, 
bestehen aus einer "vorcompilierten" Netzliste, und einer VHDL Interface 
Beschreibung. Das VHDL File wird in das "aufrufende" Modul als component 
integriert, damit die Signale zum und vom IP-Core stimmen. Die Netzliste 
selbst wird dann später "dazugelinkt" (SWmäßig gesprochen).
Am einfachsten geht dies über die ISE.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.