Forum: FPGA, VHDL & Co. Register Teilen


von vhdler (Gast)


Lesenswert?

Hallo Alle!
ist diese Zuweisungen in einem Prozess synthesierbar?
signal a:std_logic_vector(127 downto 0):=(OTHERS=>'0');
signal b:std_logic_vector(127 downto 0):=(OTHERS=>'0');
signal c:std_logic_vector(255 downto 0):=(OTHERS=>'0');
--Meine Zuweisung
:
c(255 downto 128)<=a;
c(127 downto 0)<=b;
:
Danke für jede Hilfe;
MFG

von SeriousSam (Gast)


Lesenswert?

ja

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Oder eleganter mit einer Concatenation:

c <= a & b;

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> ist diese Zuweisungen in einem Prozess synthesierbar?
> signal a : std_logic_vector(127 downto 0)   :=(OTHERS=>'0')    ;
Zuweisung von Defaultwerten gehen nicht mit jedem Synthesetool  ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.