Forum: FPGA, VHDL & Co. mehrere architecturen, xilinx ise


von daniel (Gast)


Lesenswert?

kann es sein, dass Xilinx ISE nicht mehrere architecturen für
eine entity in einer Datei zulässt? Ich hatte eine top-level-vhdl
Datei, nachdem zufügen einer zweiten architectur ist die datei
komplett verschwunden. die Eigenschaft top-level wurde einfach
an eine darunterliegende Datei gegeben.

Wie kann ich sonst mehrere architecturen für eine entity anlegen?

grüsse, daniel

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

> Wie kann ich sonst mehrere architecturen für eine entity anlegen?
Einfach so hinschreiben, die letzte wird für die Simulation/Synthese 
verwendet (Siehe Screenshot).
Evtl. solltest du "Project --> Cleanup Project Files" machen.

von daniel (Gast)


Lesenswert?

Danke für den screenshot. Zumindest weiss ich jetzt, dass es gehen soll.
Irgendwie triggere ich einen Bug, sowohl in ISE 10.1 wie in 9.1.
Die Datei wird nicht mehr angezeigt (source for: 
synthesis/implementation)
Hinzufügen lässt sie sich auch nicht mehr (meldung: already in project).
Das ganze passiert wenn man am Namen der Architecture was ändert
oder mehrere Architecture hat.

von Morin (Gast)


Lesenswert?

> Die Datei wird nicht mehr angezeigt (source for:
> synthesis/implementation)
> Hinzufügen lässt sie sich auch nicht mehr (meldung: already in project).

Das hatte ich auch mal. Da ist irgendein Objekt aus der Datei noch im 
Projekt, und deshalb behält er die Datei noch drin. Ich hab ISE jetzt 
nicht laufen, aber man konnte irgendwie in eine "Library" kommen in der 
der Inhalt der Datei aufgelistet war, und genau da musst du alles von 
dieser einen Datei entfernen, dann ist auch die Datei weg (nur aus dem 
Projekt raus natürlich). Evtl. musst du das dann sowohl für 
"Synthesis/Impl" als auch für "Simulation" machen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.