Forum: Mikrocontroller und Digitale Elektronik Flanke in Impuls


von Moe (Gast)


Lesenswert?

Hallo, ich suche eine Möglichkeit mir für jede Flanke eines Signals 
einen Impuls zu erzeugen. Also im Grunde so etwas wie ein Monoflop, nur 
das der Ausgangimpulse kürzer als das Eingangssignal ist. Alle 
bisherigen Versuche haben bei mir leider immer dazu geführt, dass das 
Ausgangssignal mindest genauso lang ist, wie das am Eingang.
Hat jemand einen Tipp für mich?

von Michael Wilhelm (Gast)


Lesenswert?

Lass das Eingangssignal über einen Kondensator laufen sodass nur ein 
Spike dein Monoflop triggert.

MW

von Frank (Gast)


Lesenswert?

So was ähnliches mache ich auch gerade,


schick dein Signal(höchstwahrscheinlich ein Rechteck), durch einen 
Hochpass. Ich verwende nen passiven HP 2.Ordnung, wobei der zweite HP 
durch ein Poti sehr variabel einstellbar ist.

Das erhaltene Signal gleichrichten und gleichzeitig verstärken. Ich 
benutze den zweiweggleichrichter aus "Elektronik für Ingenieure" 
[Hering-Bressler-Gutekunst].

Und da die beiden erhaltenen Peaks sehr ungenau sind, noch über nen 
Schmitt-Trigger oder Komparator schöne Impulse draus formen. Ich benutze 
nen präz. Schmitt-Trigger aus Tietze/Schenk, der allerdings falsch 
beschrieben ist im Tietze/Schenk.


Grüße

Frank

von Andrew T. (marsufant)


Lesenswert?

Willst Du den Impuls bei jedem Flankenwechsel?

Das Eingangsignal auf ein Exklusiv NOR Gatter leiten: einmal direkt auf 
Eingang 1, und vor Eingang 2 einen Inverter.

Du erhälst am Augang des EX-Nor einen kurzen high-Impuls (von der Dauer 
der Verzögerung im Inverter, also einige bis -zig Nanosekunden) bei 
JEDEM Flankenwechsel.


hth,
Andrew

von Frank (Gast)


Lesenswert?

@Andrew


hört sich gut an, und sehr viel simpler als ich es mache...

Ich dachte am Ausgang des XNOR liegt dauerhaft Highpegel an, da beide 
Eingänge immer unterschiedlich sind, oder habe ich da was nicht 
verstanden??


Grüße

Frank

von Moe (Gast)


Lesenswert?

Klingt gut!

Durch den Inverter wird das Signal invertiert und leicht verzögert. 
Dadurch sind die Signale bei jedem Flankenwechsel kurzzeitig gleich und 
in diesem Fall liefert das XNOR eine logische 1..

Das werd ich mal probieren!
Danke für die Antworten!

von Stefan P. (form)


Angehängte Dateien:

Lesenswert?

Du kannst auch 2 Monoflops nehmen die auf pos. und neg. Flanke 
reagieren, und dann beide verUNDen.

von Wolf (Gast)


Lesenswert?

Schön.
UND wo ist das UND?

von Stefan P. (form)


Lesenswert?

ich meine natürlich ODER

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.