Forum: FPGA, VHDL & Co. Simulation mit iener Testbench


von eldios (Gast)


Lesenswert?

hallo,

ich habe eine Testbenh geschrieben und habe jetzt leider überhaupt keine 
ahnung meh, wie ich eine Simluation mit der testbench unter modelsim 
starten kann.

ich hoffe ihr könnt mir helfen.
habe die testbench in das modelsim projekt mit eingefügt, aber weiter 
weiß ich leider nicht mehr.

danke für eure hilfe

mfg

von daniel (Gast)


Lesenswert?

verwendest du modelsim ohne ISE von Xilinx?
ich verwende es aus ISE heraus, aber es sollte auch gehen,
wenn man auf "compile" geht, das design und die testbench dazu
compliliert und dann auf tcl konsole "restart" eintippt.
danach kannst du mit "run 10 ns" simulieren.

von eldios (Gast)


Lesenswert?

danke für die info.

ise hab ich auch. wie mach ich das denn da?

mfg

von Klaus F. (kfalser)


Lesenswert?

Auch ohne Ise ist es nicht so kompliziert :
- Zuerst alle Files compilieren (-> grünes Häkchen neben den File)
- Dann das Fenster zur Darstellung der Signalverläufe öffnen.
  View -> Wave
- Simulation starten
  Simulate -> Start Simulation...
  Es kommt ein Fenster mit dem man die Top-Level Entity auswählt.
  Die Architecture der Testbench in der Library work auswählen und OK 
drücken.
- Die Signale, die man beobachten will in das Wave-Fenster ziehen.
- Die Simulation für die gewünschte Zeit laufen lassen.
  In der Tool-Leiste gibt es ein Eingabefenster, wo meist 1ms drin 
steht.
  Gewünschten Wert eingeben und den Button rechts davon drücken.

Dann fängt der Spass erst an !!

von eldios (Gast)


Lesenswert?

danke schön für die ausführliche anleitung klaus.

dann lass ich den spas mal beginnen ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.