Forum: FPGA, VHDL & Co. array und for loop


von tobias hofer (Gast)


Lesenswert?

hallo

Ich habe ein zweidimensionales Array:
1
type MEM_TYPE is array(63 downto 0) of std_logic_vector(7 downto 0);
2
signal MEM: MEM_TYPE;

Dann habe ich einen Port typ:
1
PWM_Reg  : out std_logic_vector(511 downto 0);

nun möchte ich mem folgendermassen dem Port zuweisen:
1
PWM_Reg(7 downto 0) <= MEM(0);
2
PWM_Reg(15 downto 8) <= MEM(1);
...

Nun möchte ich aber nicht den ganzen Tag damit verbringen das 64 mal
hinzuschreiben.
Gibt es eine Möglichkeit z.B. mit for oder ähnlichem diese Zuweisung
zu machen?

Besten Dank
Tobias

von Jan M. (mueschel)


Lesenswert?

Dafür gibts generate:
1
gen_connections: for i in 0 to 63 generate
2
 PWM_Reg(i*8 downto ....;
3
end generate;

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.