Forum: FPGA, VHDL & Co. Spartan 3 AN Pins ?


von Hans-Werner (Gast)


Lesenswert?

Mir liegt das Datasheet vor.
Werde trotzdem nicht daraus schlau.
Ich kann in der Tabelle nicht erkennen welches die Pins für die 
Switches, LEDs, die LCD Anzeige und der Drehknopf sind.
Habe bis jetzt nur den Systemclock des Boards auf E12 gefunden.
Wo ist was ?

von Phasendetektor, Typ IV (Gast)


Lesenswert?

Wovon redest du?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Mir liegt das Datasheet vor.
Mir nicht.

Welches Datasheet?
Welches Gehäuse?
Welches Board?

> Wo ist was ?
Im Schaltplan steht das.

BTW:
Ein FPGA hat keinen Drehknopf ;-)

von Hans-Werner (Gast)


Lesenswert?

Natürlich hat ein FPGA keine Drehknopf, aber das Spartan 3 AN Starter 
Kit von Xilinx bzw. Digilent. Das hat übrigens ein FG484 Gehäuse. Wo 
finde ich den Schaltplan ? Name des Dokumentes ?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Wo finde ich den Schaltplan ? Name des Dokumentes ?
Auf der beigelegten CD?
Auf der HP von Digilent oder Xilinx?
Oder nur mal angenommen, ich hätte schonmal was von Google (das ist eine 
Suchmaschine) gehört, und würde dort eingeben:
1
xilinx spartan 3-an schematics

Was wäre denn dann der erste Treffer?

von Sepp (Gast)


Lesenswert?


von Niklas G. (erlkoenig) Benutzerseite


Lesenswert?

Falls du das "Xilinx Spartan-3AN Starter Kit" meinst:

Lade dir von hier:
http://www.xilinx.com/products/boards/s3astarter/reference_designs.htm
Das "UCF Constraints File for the Starter Kit Board" down (dazu musst du 
dich registrieren).
Die Datei bindest du in dein Xilinx ISE-Projekt ein. In der Datei steht 
für jeden auf dem Board verwendeten Pin eine Zeile. So gibt es z.B. eine 
Zeile, in der CLK_50M steht - dieser Pin ist mit dem 50MHz-Oszillator 
verbunden. In der VHDL-Entity deines Projektes definierst du jetzt ein 
Eingangssignal mit dem Namen CLK_50M, und ISE erkennt mithilfe der Datei 
automagisch, mit welchem Pin des FPGAs das verbunden werden muss. Du 
musst in der Datei aber alle Pins, die du in deinem Design nicht 
verwendest, auskommentieren. Code-Beispiel:
1
entity topmod is
2
 port (
3
  CLK_50M : in std_logic;
4
  LED     : out std_logic_vector (7 downto 0)
5
 );
6
end topmod;
Falls dein Projekt mehrere Architectures enthält, bezieht sich diese 
automatische Verbindung nur auf das "Top Module". Klicke rechts im 
Sources Window im Sources-Tab auf die Architecure, die dein "Top Module" 
sein soll und dann auf "Set as Top Module", damit ISE weiß, welche 
Architecture mit den FPGA-Pins verbunden werden soll.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

> Du musst in der Datei aber alle Pins, die du in deinem Design nicht
> verwendest, auskommentieren.
Das kann mit dem Schalter "Allow Unmatched LOC Constraints" umgangen 
werden. (Rechtsklick auf "Implement Design" im "Processes" Fenster)

Du mußt allerdings auf jeden Fall die gleichen Portnamen für die LEDs, 
Schalter... verwenden, wie sie im UCF-File stehen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.