Forum: Mikrocontroller und Digitale Elektronik LED Schaltung


von Atmega8 (Gast)


Lesenswert?

Moin, moin,
ich habe gerade die erste Schaltung aus dem Tutorial nachgebaut 
(http://www.mikrocontroller.net/articles/AVR-Tutorial:_IO-Grundlagen )
Für den ISP habe ich mich an den Vorschlag von Scott-Falk Hühn 
(http://s-huehn.de/elektronik/avr-prog/avr-prog.htm ) gehalten. Ich habe 
hiermit über die parallele Schnittstelle, Pony-Prog und dem Olimex P28 
schon das Olimex-Testprogramm zum laufen bekommen, so dass der ISP 
anscheint funktioniert,
Dann habe ich auf meinem Steckbrett die Schaltung aus dem Tutorial 
nachgebaut: An PBO über einen Widerstand eine LED nach Vcc 
angeschlossen. Dann habe ich zuerst dieses auf den µC geladen:

-------
.include "m8def.inc"         ; Def-datei für den Prozessortyp einbinden
         ldi r16, 0xFF       ; lade Register r16 mit der Konstanten 0xFF
         out DDRB, r16       ; Inhalt r16 ins IO-Register DDRB ausgeben
         ldi r16, 0b11111100 ; 0b11111100 in r16 laden
         out PORTB, r16      ; r16 ins IO-Register PORTB ausgeben
ende:    rjmp ende           ; Sprung zur Marke "ende" -> Endlosschleife
-------

Es passierte nichts!
Ersetze ich die vierte Zeile aber gegen:

-------
ldi r16, 0b00000001
-------

und baue die Schaltung entsprechend so um, dass man von PB0 über den 
Widerstand und die LED nach Gnd kommt, läuft es wie gewollt, die LED 
leuchtet.
Dannach habe ich wieder umgebaut und die vierte Zeile in

-------
ldi r16, 0b00000000
-------

geändert. Es passierte nichts. Hab dann mit dem Multimeter nachgemessen:

- Vcc nach PBO : 0V
- Gnd nach PB0 : 2,5 V (also ca. 0.5*Vcc)

Weiß da jemand Rat, ich kann mir das nicht erklären.

Ach so ich nutze avra (Linux) und Ponyprog

von Atmega8 (Gast)


Lesenswert?

Zwischen PB0 und Vcc messe ich im letzten Fall ~3,3 V

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.