Forum: FPGA, VHDL & Co. Signale durchschleifen


von Andi (Gast)


Lesenswert?

Hi,

vielleicht kann jemand von Euch mir bei folgender Problemstellung
behilflich sein:

Folgende FPGA-Eingänge:

Clk    : in std_logic;
Data   : in std_logic_vector(7 downto 0);
DataEn : in std_logic;

Data und DataEn kommen von einem anderen FPGA und haben
definierte tCO. Der Takt hat eine feste Phase zu diesen Daten.

Nun möchte ich (zunächst einmal) meine FPGA-Eingänge an FPGA-Ausgänge
durchreichen:

ClkOut <= Clk;
DataOut <= Data;
DataEnOut <= DataEn;

Was für Möglichkeiten gibt es, der Synthese beizubiegen, dass
alle Routing-Pfade (bei zuvor festgelegtem Pinning) gleich lang sein 
sollen, um die ursprünglichen Phasen-Relationen der Signale nicht (oder 
nur minimal) zu verändern (Hinweis: Clk=125MHz)?

Ich habe nur ein MAXDELAY-Constraint gefunden, definiert aber nur
eine Obergrenze.
Habt Ihr alternative gute Ideen ?

Wie sieht es mit dem injizierten Jitter des Taktsignales aus, wenn man
das Signal durchschleift ? Da man in der HDL-Beschreibung keinen
getakteten Prozess verwendet, werden wohl kaum Clock-Routing-Kanäle 
verwendet.

Oder muss ich tatsächlich Pipeline-Stufen unter Berücksichtigung
von tSU und angepasstem tCO verwenden ?

Gruß,
Andi

von A. F. (artur-f) Benutzerseite


Lesenswert?

Schaue mal hier nach, gibt so einiges:
http://www.xilinx.com/itp/xilinx4/data/docs/cgd/t5.html
1
TIMESPECs can be set in various ways depending on the constraint.
2
See the "FROM-THRU-TO" section of the "Xilinx Constraints F" chapter.
3
See the "FROM-TO" section of the "Xilinx Constraints F" chapter.
4
See the "INPAD_TO_OUTPAD" section of the "Xilinx Constraints I" chapter.
5
See the "OFFSET_IN_BEFORE" section of the "Xilinx Constraints O" chapter.
6
See the "OFFSET_OUT_AFTER" section of the "Xilinx Constraints O" chapter.
7
See the "PERIOD" section of the "Xilinx Constraints P" chapter.
8
See the "PRIORITY" section of the "Xilinx Constraints P" chapter.
9
See the "TSidentifier" section.

von Andi (Gast)


Lesenswert?

... arbeite mit Lattice-Bausteinen. Kann mit deinen Constraints
also nicht viel anfagen.

"INPAD_TO_OUTPAD" könnte interessant sein, scheint es aber in der Form
bei Lattice nicht zu geben.

Gruß,
Andi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.