Forum: FPGA, VHDL & Co. Xilinx 95xx CPLD (allg. Fragen)


von Gast (Gast)


Lesenswert?

Hallo,
ich habe gestern mein Pollin CPLD-Board erhalten. Nun sind mir folgende 
DDinge aufgefallen, welche ich einmal kurz ansprechen wollte :

1) Die XC95xx-XL Bausteine haben laut Xilinx 5V tolerante I/O´s, ich 
interpretiere dies so, dass ich den CPLD-Kern zwar mit 3,3V speisen 
muss, aber die I/O´s des CPLD´s direkt mit einem z.B. µC auf 5V Pegel 
verbinden kann (ggf. 10-100 Ohm Serienwiderstand zwischen CPLD-I/O und 
µC-I/O)

Richtig oder Falsch ?

2) Nun besitzt das Pollin-Board einen Speicherbaustein, die I/O´s des 
S-Ram´s sind nicht frei zugänglich (d.h. auf Stiftleiste), d.h. es 
besteht nicht die Möglichkeit über einen externen Baustein Daten dem 
SRam zur Verfügung zu stellen und über den CPLD die SRam-Adresse zu 
generieren.

Mal abgesehen von "Lehrzwecken", was kann man sinvolles mit einer 
solchen Kombination anstellen ?


3) Während meiner Diplomarbeit im Jahre 1998 beschäftigte ich mich mit 
der VHDL-Programmierung und einem XC4005-Baustein (daher auch das 
heutige Interesse am Pollinboard!). Doch leider kann ich anhand der 
Datenblätter nicht einschätzen, ob der XC4005 (Programm wurde noch in 
einem PROM untergebracht!) mehr Programmiermöglichkeit bot als heute ein 
9572/95144. Ich weiß nur noch, dass ich sehr viel VHDL-Code geschrieben 
habe und der XC4005 zu ca. 99% voll war. Das "kleine" Beispiel 
"Blink-LED" von Pollin scheint den XC95144 schon zu ca. einem 1/5 zu 
füllen.

Was kann in einem 9572/95144 so in etwa untergebracht werden, kann mir 
jemand ein Gefühl dafür geben ?

von Klaus Falser (Gast)


Lesenswert?

1) Richtig
2) N/A
3) Ein kurzes Googlen nach dem XC4005 zeigt dass diese ca. 600 FF zur 
Verfügung stellte. Ein XC9572 hat 72 FF, ein XC95144 hat 144 (usw).
Diese Bausteine bieten deutlich weniger Resourcen, ganz abgesehen von 
der Tatsache, dass es sich um CPLD handelt, während der XC4000er schon 
ein FPGA war. Das Routing beim FPGA ist deutlich flexibler, man kann 
damit eindeutig komplexere Sachen machen.

von Falk B. (falk)


Lesenswert?

@Gast (Gast)

>muss, aber die I/O´s des CPLD´s direkt mit einem z.B. µC auf 5V Pegel
>verbinden kann

Ja.

>(ggf. 10-100 Ohm Serienwiderstand zwischen CPLD-I/O und
>µC-I/O)

Ist unnötig.

>Mal abgesehen von "Lehrzwecken", was kann man sinvolles mit einer
>solchen Kombination anstellen ?

Man kann per UART oder SPI Daten über den CPLD in den SRAM schreiben und 
dann als Videobild, Audiosignal oder wasauchimmer ausgeben lassen.

>Datenblätter nicht einschätzen, ob der XC4005 (Programm wurde noch in
>einem PROM untergebracht!) mehr Programmiermöglichkeit bot als heute ein
>9572/95144.

Der 4005 ist eine FPGA, wenn gleich alt. Der 95XL144ein CPLD, nicht ganz 
so alt. Aber selbst das alte FPGA kann mehr.

4005:

14x14 = 196 CLBs = 392 x (FlifLop + 4 Bit Funktionsgenerator). Wobei man 
die Funktionsgeneratoren als RAM nutzen kann!! Das erhöht die praktisch 
nutzbare Kapazität MASSIV!

http://www.xilinx.com/support/documentation/data_sheets/4000.pdf

95XL144:

144 Macrozellen = 144 (FlipFlop + grosser Funktionsgenerator (20 Bit++))

> Ich weiß nur noch, dass ich sehr viel VHDL-Code geschrieben
>habe und der XC4005 zu ca. 99% voll war.

Da muss man nicht viel schreiben, um das klene Ding vollzukriegen ;-)

>Was kann in einem 9572/95144 so in etwa untergebracht werden, kann mir
>jemand ein Gefühl dafür geben ?

Ein Uart + Gemüse. 32 Bit SPI IO-Register. So in etwa. Der Ulrich Radig 
hat ne kleine Grafikkarte reingepresst.

http://www.ulrichradig.de/

MFG
Falk

von Gast (Gast)


Lesenswert?

Danke für die Antworten,

nun weiß ich zumindest woran ich mit dem XC95xx bin. Aber dennoch ist es 
nach ca. 10Jahren mal wieder eine spannende Sache sich mit VHDL ein 
wenig zu beschäftigen.

Ich werde mir die angegebenen Links mal anschauen. Danke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.