Forum: FPGA, VHDL & Co. Unterprogramme die Ports ansteuern


von Martin (Gast)


Lesenswert?

Hallo @ all,
ich bin ganz neu in VHDL und würde gerne wissen, ob es eine Möglichkeit 
gibt mit der ich ein Unterprogramm (Procedure, Function etc.) aufrufen 
kann und dann in dem Unterprogramm die Ports ansteuern kann.

Ich habe bis jetzt keine Möglichkeit gefunden, mit der ich im 
Unterprogramm die Ports meines FPGAs einstellen kann und würde mich sehr 
über jede Art von Ratschlag freuen.

mfg

Martin

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Unterprogramm (Procedure, Function etc.) aufrufen
Kennst du Unterprogramme von C oder Basic oder sowas?
Gut.
Dann vergiss diese Denkweise schnellstmöglich wieder.
Prozeduren und Funktionen sind in VHDL etwas grundlegend anderes.

> ich bin ganz neu in VHDL...
Dann wirst du das nächste halbe Jahr keine solchen Syntaxelemente 
brauchen. Glaub mir das ;-)

Was du brauchst ist eine andere Art der Strukturierung deines Projekts 
über Einheiten (entities), Komponenten (components) und Instanzen 
(instance).

von Christian R. (supachris)


Lesenswert?

Lothar Miller wrote:

> Was du brauchst ist eine andere Art der Strukturierung deines Projekts
> über Einheiten (entities), Komponenten (components) und Instanzen
> (instance).

Wobei noch hinzuzufügen ist, dass die nach außen geführten physischen 
Anschlüsse des FPGA ausschließlich aus der obersten Ebene dieser 
Konstrukte direkt angesteuert werden können. Aber das wirst du schnell 
lernen, wenn du dir ein VHDL-Buch ansiehst, oder sonstwie VHDL lernst.

von Klaus F. (kfalser)


Lesenswert?

Christian R. wrote:
> Wobei noch hinzuzufügen ist, dass die nach außen geführten physischen
> Anschlüsse des FPGA ausschließlich aus der obersten Ebene dieser
> Konstrukte direkt angesteuert werden können.

Das stimmte eigentlich nicht mehr.
XST z.B. kann die Port-Signale (sogar bi-direktionale INOUTs) ohne 
Probleme an Untermodule weiterreichen.

von Schrotty (Gast)


Lesenswert?

Grundlegend must du dich von deiner Software-Gedankenwelt lösen.
im FPGA läuft kein Programm, sondern du beschreibst in VHDL eine 
Hardware, die dann im FPGA abgebildet wird.
Diese Beschreibungssprache bietet dir die Möglichkeit sequenzielle 
Elemente zu benutzen, aber auch diese resultieren letztendlich in einer 
Hardware, die parallel läuft.
Denk nicht mehr in Software, sondern denk in Hardware, das ist der erste 
und wichtigste Schritt beim Einstieg in VHDL.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.