Hallo zusammen,
habe mal wieder ein Problem mit einer Fehlermeldung der ISE.
Habe eine Component geschrieben in der ein 34 bittiger Vector:
1 | Data_out: out STD_LOGIC_VECTOR(33 downto 0)
|
definiert wird, um Ihn als Ausgabevektor zu nutzen.
Die Syntaxkontrolle und Synthetisierung dieser Komponente liefert keine
Fehler!
Nun versuche ich diese Komponente in eine Top-Level Entity einzubinden,
was mit anderen Komponenten auch bereits gut funktioniert hat,
allerdings verwendete ich in diesen keine Vektoren, sondern nur
STD_LOGICs.
In der Top-Entity habe ich ebenfalls einen Ausgabevektor definiert:
1 | pdata_top: out STD_LOGIC_VECTOR (33 downto 0)
|
und versuche nun den Vektor der Komponente an die Top_Entity weiter zu
geben. Leider bekomme ich dabei eine Fehlermeldung:
1 | "Type of Data_out is incompatible with type od pData_top.
|
Die Zuweisung habe ich wie folgt in der Instantierung versucht:
Auch der Umweg über ein als STD_LOGIC_VECTOR defniertes Signal in der
Architecture der Top-Entity blieb leider mit der gleichen Fehlermeldung
erfolglos.
Hat vllt. jemand einen Tipp wo ich noch nach dem Fehler suchen könnte?
Verwende auch in beiden sourcen die gleichen Libs:
1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | use IEEE.STD_LOGIC_ARITH.ALL;
|
4 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
5 | use IEEE.NUMERIC_STD.ALL;
|
Da die Vektoren vom gleichen Typ und in der gleichen Größe definiert
sind, habe ich leider keinen Ansatz mehr, an welchem Punkt hier eine
Inkompatibilität vorliegen soll.
Gruß & Dank,
Stefan K.