Forum: FPGA, VHDL & Co. Microblaze von selbstgemachten peripherals lesen


von Tobi (Gast)


Lesenswert?

Hi

ich hab mir einen User logic reader für eine µBlaze prozessor 
geschrieben nur irgendwie tut das ding nicht genau was ich tue.

Die user logic hat nur 1 32 bit register also von daher kann ich ja
mit der Baseaddress ohne offset arbeiten.

Ist im C programm folgender ansatz korrekt?
1
int *userlogicaddress;
2
userlogicaddress=(int *)XPAR_USERLOGICREADING_0_BASEADDR; 
3
4
dummy = *userlogicaddress; //reading from base address into dummy
5
6
XGpio gpPB; 
7
XGpio_Initialize(&gpPB, XPAR_LEDS_8BIT_DEVICE_ID);  //setting led output port
8
XGpio_SetDataDirection(&gpPB, 1, 0x00000000); // setting led port output direction
9
XGpio_DiscreteWrite(&gpPB, 1, dummy); // writing dummy from inputs to leds

weil wenn ja muss ich tieferliegend suchen.

Ich lese bekomme aber null zurück obwohl die dipswitches die dransind 
eigentlich ein anderes bitmuster anzeigen.

Grüße
  Tobi

von Tobi (Gast)


Lesenswert?

*nachtrag - crosspost to english forum here
http://embdev.net/topic/132957

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.