Forum: FPGA, VHDL & Co. Speichermodelle - warum ist VHDL da so schlecht vertreten?


von Matthias (Gast)


Lesenswert?

Hallo,

ich habe mal wieder DDR2 Speichermodelle in VHDL gesucht und wieder ist 
mir aufgefallen, dass die Hersteller VHDL anscheinend in der letzten 
Zeit immer weniger unterstützen. Ich habe jetzt zwar was brauchbares von 
Hynix gefunden, die bieten aber ab den DDR3 Riegeln auch nur mehr 
Verilog Modelle. Bei anderen Herstellern ist es ja nicht anders, zb 
Micron, alles nur mehr in Verilog.

Warum das, ist VHDL am langsamen sterben und in diesem Bereich sieht man 
es schon?

LG
Matthias

von torben (Gast)


Lesenswert?

amis bevorzugen verilog

von Matthias (Gast)


Lesenswert?

Soweit ist mir das schon klar, aber ein paar Kunden wirds ja wohl in EU 
auch geben, zumindest genug damit es sich auszahlt, ein generisches 
Modell anzubieten.

von noone (Gast)


Lesenswert?

eigentlich dürfte das ja aber kein problem darstellen ...jedenfalls wenn 
du  (bei Modelsim o.ä.) eine "mixed license" hast, dann kannst du auch 
die verilog dateien zum simulieren benutzen...
oder gibt es noch anderen probleme?

von Oliver N. (neufranke)


Lesenswert?

Du kannst es doch wrappen! Ausserdem willst Du auch nicht die Innereien 
sehen und koenntest es auch synthetisieren und so nutzen.

von Matthias (Gast)


Lesenswert?

Wir haben beim Modelsim nur die VHDL Lizenz, daher könnte es durchaus 
ein Problem werden. Und es wundert mich einfach, so ein 
parametrisierbares Speichermodell in VHDL ist ja nun auch wieder nicht 
so groß/teuer, dass es für die Hersteller unleistbar wäre. Aber bei DDR3 
wirds anscheinend schon eng mit Modellen, dabei ist so ein Modell mit 
dem man entwicklen kann sicher für die generelle Akzeptanz von diesen 
Speichern vorteilhaft.

von bko (Gast)


Lesenswert?

tja warum: also ich denke das hat zwei Gründe:
1) große Firmen können sich die sog. "mixed HDL"
 Lizenzen von Mentor (Modelsim SE), Cadence (ncsim) oder
 gar Synopsys leisen.
 Mit diesen Lizenzen geht das Mischen von Verilog und VHDL Problemlos.
2)Den Amis ist das Problem egal sie machen eh nur Verilog.

So nun haben kleinere/mittlere Europäische "VHDL" Firmen
diese Möglichkeiten:
a) Modelsim SE Lizenz kaufen            (sehr teuer).
b) das verilog model selbst umschreiben (sehr aufwändig).
c) den RAM Hersteller anschreiben und nach VHDL
   Modellen fragen     (positive Antwort sehr unwahrscheinlich).

von Läubi .. (laeubi) Benutzerseite


Lesenswert?


von Morin (Gast)


Lesenswert?

> d) http://www.google.de/search?q=verilog2vhdl+converter ;)

Welches Tool meinst du konkret? Google spuckt ja tausende aus. Die 
meisten davon übersetzen den Code aber nicht 1:1, weil sie es nicht 
schaffen, die Sprachkonstrukte korrekt aufeinander abzubilden oder 
schlicht nicht alle Konstrukte unterstützen. Den umgewandelten Code erst 
mal auf Korrektheit zu überprüfen ist wohl kaum eine Option.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.