Forum: FPGA, VHDL & Co. Mal schnell was simulieren


von micha (Gast)


Lesenswert?

Tach,

gibt es eine kleine, kostenlose Software, mit der ich mal schnell ein 
VHDL-Design testen kann?
Ich würde mir ungerne extra so ein Monster von Xilinx oder Altera 
installieren...

von john (Gast)


Lesenswert?

ja, es gibt notepad für linux

von micha (Gast)


Lesenswert?

1. Ich benutze Windows.
2. Ich finde keinen VHDL Simulator "notepad" für Linux.

Aber danke für den Tip.

von Tim (Gast)


Lesenswert?

GHDL

von hans (Gast)


Lesenswert?

Hallo micha,

schau dir das hier con CT mal an:

http://www.heise.de/software/download/logiflash/61285

Könnte das sein, was du suchst.

gruß hans

von ingFH (Gast)


Lesenswert?


von john (Gast)


Lesenswert?

micha,

1. notepad und linux ist ein joke, aber gut, den versteht nciht jeder
2. google -> free VHDL simulator und schon gehts
3. siehe antwort vom Tim, GHDL ist super ( ohh, das wäre das antowrt 2. 
ergebniss 3 gleich ).

von micha (Gast)


Lesenswert?

Danke für die Tips, aber...

logiflash kann wohl kein VHDL öffnen.

Modelsim währe sicher prima, kostet aber leider. Die kostenlose Version 
bekommt man anscheinend nur mit ISE oder Quartus.

Ich habe nur ein Netbook mit 16GB SSD, da passen solch fette Brocken 
leider nicht drauf.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

http://ghdl.free.fr/

GHDL is a complete VHDL simulator, using the GCC technology.

GHDL for Windows - Since May 2006, there is a pre-built version of 
windows

Installiert 4 MB - wird also klein genug sein für deine SSD

von Klaus Falser (Gast)


Lesenswert?

ModelSim von Xilinx kann getrennt von ISE heruntergeladen und in Betrieb 
genommen werden. Man muß sich aber meines Wissens registrieren.
Dann gibt's noch VHDLSimili von SymphonyEda. Ist (oder war) auch einmal 
gratis.

von Superingenieur (Gast)


Lesenswert?

Altera ModelSim 9.0 geht ohen registrieren. Einfach installieren und gut 
ist. Aber sind halt 200MB inclusive Libs. Die Libs und deren Bedarf 
werden ohnehin ein Prob fürs kleine Not-book.

von bereal (Gast)


Lesenswert?

Für die reine Simulation unter Linux benutze ich, wie von Klaus Falser 
bereits erwähnt, VHDLSimili. Dafür gibt es kostenlose Lizenzen und es 
ist auch nur wenige MB groß/klein. Mit GHDL habe ich leider schlechte 
Erfahrungen gemacht. Scheinbar ist es dort sehr wichtig WIE man seinen 
Code schreibt. Ich hatte schon einige Fälle wo die Simulation nicht 
funktionierte, weil der kompilierte Testbench mit diversen 
Fehlermeldungen abbrach. Ich schiebe den Fehler einfach mal der 
Versionsnummer zu ;) Mit jedem anderen Simulator lief der Code nämlich 
einwandfrei. Ich muss aber auch gestehen, dass ich mich noch nicht 
eingehend mit GHDL beschäftigt habe.

von Georg A. (Gast)


Lesenswert?

> Mit GHDL habe ich leider schlechte Erfahrungen gemacht.

Ich nur sehr gute. Crashes hatte ich schon lange nicht mehr. Wenn ein 
Programm abbricht, dann wegen tatsächlichen Laufzeitfehlern (index out 
of range, etc.).

von bereal (Gast)


Lesenswert?

Wie gesagt, der Fehler könnte da auch vor dem Rechner gewesen sein :) 
Hab mich eben nicht weiter eingelesen. Aber ein paar mal musste ich gdb 
anwerfen um den Fehler zu lokalisieren. Soweit ich mich erinnern kann 
war es im Zusammenhang mit den xilinx-libs ( unisim )
Ich werde mich aber nochmal ein wenig damit auseinandersetzen wenn ich 
die Zeit finde.

von Georg A. (Gast)


Lesenswert?

Bei unisim hatte ich nur die "üblichen" Compilationsprobleme (in dem 
VITAL-File). Wobei ich aber ganz stark den Verdacht habe, dass das VHDL 
wirklich nicht korrekt ist und mit ISE10.X habe ich es auch noch nicht 
probiert (mit dem 9.2er geht gerade alles wunderbar ;-) )

Allerdings nehme ich aus Unisim im wesentlichen nur die RAM-Module und 
ab und zu mal den DDR-Kram. Mehr musste ich noch nicht manuell 
instanziieren. Und das mit dem DCM ist in der freien Wildbahn ohnehin 
wieder ganz anders, daher werden die gar nicht erst simuliert (spart 
auch Zeit...).

von micha (Gast)


Lesenswert?

Danke für die vielen Tips!

GHDL hats gebracht.

Hat jemand Erfahrungen mit FreeHDL?
Das wird von QUCS zur VHDL-Simulation benutzt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.