Forum: Mikrocontroller und Digitale Elektronik KV-Diagramm, Logikgatter


von Thomas (Gast)


Lesenswert?

hallo Zusammen,

ich sitze gerade an einer Schaltung, welche anzeigen soll ob in einem 
Nibble eine gerade oder eine ungerade Anzahl von einsen ist.

Der Ausgang soll high sein falls Anzahl der einsen ungerade ist. Das 
Problem dabei ist das ich das alles gerne mit Logikgattern machen würde, 
also ohne Atmega8 etc.. Also habe ich mir einmal eine Wahrheitstabelle 
gezeichnet:

w x y z | Led
-------------
0 0 0 0 | 0
0 0 0 1 | 1
0 0 1 0 | 1
0 0 1 1 | 0
0 1 0 0 | 1
0 1 0 1 | 0
0 1 1 0 | 0
0 1 1 1 | 1
1 0 0 0 | 1
1 0 0 1 | 0
1 0 1 0 | 0
1 0 1 1 | 1
1 1 0 0 | 0
1 1 0 1 | 1
1 1 1 0 | 1
1 1 1 1 | 0

Doch jetzt weiß ich nicht weiter, wie mache ich daraus ein KV-Diagramm 
bzw. eine minimale Logik.
Kann mir vielleicht jemand einen Denkanstoss geben wie ich weiter machen 
könnte?

Grüße Thomas :)

von Ahem (Gast)


Lesenswert?

Am beste Du schaust Dir die Beispiele aus Deinen Mitschriften an. Einige 
Bücher sollten auch Beispiele enthalten.

Dann, wenn Du es probierst und auf Probleme stößt, die Du konkret 
benennen kannst, kannnst Du auch gerne hier wieder fragen.

von MeinerEiner (Gast)


Lesenswert?

Evtl hilft die Abbildung hier:
https://www.fbi.bfh.ch/images/b/bf/KV-Diagramm_ABCD.png

A, B, C, D sind bei dir halt w, x, y, z.

von maddin (Gast)


Angehängte Dateien:

Lesenswert?

...bin gerade dabei, habs schon ewig nicht mehr gemacht...

die kürzungsregeln kenne ich auch noch, das ist nicht das problem, das 
problem ist die lage der einsen...

moment mal...
m.

von Helmut (Gast)


Lesenswert?

Hallo,

die eleganteste Lösung:

2 3zu8 Dekoder 74HC138
1 8fach NAND

Jede andere Lösung braucht mehr ICs und macht mehr Aufwand beim 
Verdrahten.

von maddin (Gast)


Lesenswert?

wenn ich auf die schnelle alle fälle richtig übertragen habe, dann 
bietet hier KV keine kürzungsmöglichkeit...

deine lösung sind dann die einzelfälle AND verknüpft, insgesamt dann 
ODER verknüpft.

d.h. du hast 8 AND glieder mit 4 eingängen und ein oder mit 8 eingängen, 
und diverse nicht gatten um die fälle zu markieren.

moment...

m.

von maddin (Gast)


Lesenswert?

@Helmut, gute lösung :-)

m.

von maddin (Gast)


Lesenswert?

4 XOR mit 2 eingängen müssten auch gehen sehe ich gerade:

A XOR mit B, das ergebnis XOR mit C, das ergebnis XOR mit D, kann das 
sein?

m.

von maddin (Gast)


Lesenswert?

...wenn es passt, dann ist 74HC86 dein freund...

m.

von Thomas (Gast)


Lesenswert?

hallo
erstmal dankeschön für die vielen antworten schon
@maddin
ok dann werde ich es wohl doch mit so vielen bauen müssen wenn man es 
nicht vereinfachen kann.
trotzdem danke
gruß

von (prx) A. K. (prx)


Lesenswert?

Helmut schrieb:

> Jede andere Lösung braucht mehr ICs und macht mehr Aufwand beim
> Verdrahten.

Nö. Für Parity gibt's fertige Bausteine. Schätze aber, die Aufgabe soll 
mit Gattern gelöst werden und dann ist ein Dekoder auch tabu.

von maddin (Gast)


Lesenswert?

...übrigens für die 2 74HC138 könntest du auch einen 74HC154 nehmen, 
wieder einen gespart...

m.

von maddin (Gast)


Lesenswert?

...du brauchst nur einen 74HC86...

von Jean P. (fubu1000)


Lesenswert?

Hi,
wenn ich mich nit total irre reichen sogar 3 XOR (w und x auf einen XOR, 
y und z auf einen XOR und die Ergebnisse beider wieder auf einen XOR).

Gruß

von Dieter W. (dds5)


Lesenswert?


von Thomas (Gast)


Lesenswert?

hallo
ich freue mich echt riesig das es mit so wenig teilen klappt vielen 
vielen dank, werd mich mal gleich ans löten machen ;)
dankeschön
gruß thomas

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.