Forum: FPGA, VHDL & Co. CPLD von Xilinx


von Markus (Gast)


Lesenswert?

Hallo,
ich bin wie viele hier frisch dabei mich in CPLD's einzuarbeiten.
Ich baue mir grad das parallel Programmierkabel für einen Xilinx XC9536.
Meine frage ist, wie das mit dem System Takt ist. Brauche ich zwingend 
ein takt signal?
Ich möchte einen 16bit (später 24bit) auf und abwärts Zähler 
realisieren.
Der Zähler soll ein Pin für die Wahl von auf/abwärtszählen und ein clock 
signal haben.
Darüber hinaus soll über 8 Eingänge und ein weiteres clock signal der 
Zählerstand in zwei (bzw 3) Takten auf dieses zweite clock pin 
übernommen werden. Das ganze geht von einem µC aus, muss also nicht 
entprellt werden.
Ich möchte eigentlich nur wissen, ob das möglich ist und auch ohne Takt 
signal.

Ich hab zwar schon einiges gefunden aber vieleicht hat ja jemand noch 
Vorschläge für Webseiten mit guter Doku und Tutorien für CPLD's.

Also dann schon mal vielen Dank!
LG
Markus

von Benedikt K. (benedikt)


Lesenswert?

Markus schrieb:
> Meine frage ist, wie das mit dem System Takt ist. Brauche ich zwingend
> ein takt signal?

Nein.
Ein CPLD ist nichts anderes wie normale Logik, nur eben alles auf 
kleiner Fläche frei programmierbar. Man könnte also auch reine 
kombinatorische Logik damit machen.
Du könntest deinen Zähltakt als globalen Clock nehmen. Dann hast du ein 
synchrones Design und bekommst somit keine Timingprobleme.

von Markus (Gast)


Lesenswert?

Danke für die Antwort!
Habe mir das ISE 10.1 installiert. Habe ich das richtig gesehen, das das 
nur eine 30 Tage Testversion ist?
Mein entwurf funzt schon wunderbar im Simulator. Allerdings habe ich 
noch nicht ganz geschnallt wie ich jetzt die Pins zuweise.
Im Manual steht man soll dem Objekt bzw dem IO Marker im Schaltplan ein 
LOC Attribut hinzufügen, das als Wert den Pin hat. Aber woher weis ich, 
wie welches Pin heist?
Kann mir da jemand helfen?
Danke!

von D. I. (Gast)


Lesenswert?

die vollversion ja, das WebPACK nicht

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.