Forum: FPGA, VHDL & Co. Wertzuweisung an Elemente eines Bit_Vektors


von Thomas (Gast)


Lesenswert?

type bit_vector is array (0 to 6) of bit;
signal leds: bit_vector;
??


Hi,

wie kann ich leds nun einzeln für jedes element einen bestimmten Wert 
zuordnen? Nach 5 h programmieren, finde ich irgendwie nichts Gescheites 
dazu... hab nun schon ein paar Varianten ausprobiert, aber alle klappten 
einfach nicht.....

Danke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

1
leds(0) <= '1';
2
leds(6) <= '1';
3
leds(1 to 5) <= "01010";

> type bit_vector is array (0 to 6) of bit;
Wieso eigentlich bit, und wieso (0 to 6)?

Üblicher wäre z.B.
1
type stl_vector is array (6 downto 0) of std_logic;
2
signal leds: stl_vector;
oder kürzer:
1
signal leds is std_logic_vector(6 downto 0);

von Thomas (Gast)


Lesenswert?

ah ok danke für deine tipps. :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.