Forum: FPGA, VHDL & Co. shr mit std_logic_vector und signed


von Mark (Gast)


Lesenswert?

Hallo -

Folgendes Problem:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsinged.all;

..
..

(das hier funktioniert)

test := shr(input,count);

(nun soll input signed werden)

s_input := signed(input);

test := shr(s_input,count);    <<<<< funktioniert nicht!!

Das Signal input ist ein std_logic_vector(16 downto 0), und ist 
eigentlich ein signed.

Die numeric_std darf ich nicht verwenden..
Wie kann ich den input konvertieren, so dass die shr Funktion 
funktioniert?

Wäre sehr dankbar!

Mark

von Klaus F. (kfalser)


Lesenswert?

vielleicht so :

test := shr(std_logic_vector(s_input),count);

Aber warum zuerst auf signed wandeln und dann wieder zurück?
Auch wenn es keine richtige Umwandlung ist, sondern nur eine andere 
Interpretation des Vektors.

von dito (Gast)


Lesenswert?

Ist test evt. vom Typ signed?

von dito (Gast)


Lesenswert?

Sorry, meinte vom Typ unsigned.

von dito (Gast)


Lesenswert?

Du musst aber auch das Package ieee.std_logic_signed einbinden.

von D. I. (Gast)


Lesenswert?

und warum darfst du numeric_std nicht verwenden?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.