Forum: FPGA, VHDL & Co. state machine editor in quartusII


von Daniel (root) (Gast)


Lesenswert?

Hallo,

eigentlich ist die Bedienung bestechend einfach in dem FSM
Editor von QuartusII. Mein Graph ist vollständig, alle Transitionen
sind über Bedingungen beschrieben und dennoch beschwert sich
das Programm mit "HDL file generation unsuccessfull".
Leider verrät die Fehlermeldung nichts zur deren Ursache!
Benutzt jemand dieses Tool und weiss woran das liegt?

Grüsse

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.