Forum: FPGA, VHDL & Co. Anfängerfrage zu: VHDL : process


von SilentBob (Gast)


Lesenswert?

Hi!

Hab da mal ne Frage zu der Abarbeitung von Prozessen in VHDL.
Wenn ich in einem Prozess (der auf eine Taktflanke wartet, keine 
Sensitivity-List) mehrere IF Abfragen einbaue. Werden diese IF-Zweige 
dann alle gleichzeitig verarbeitet, also wieder parallel oder 
nacheinander gestartet?

Beispielcode:
1
-- ... Header Kram ...
2
...
3
XY : process
4
begin
5
wait until risingedge(clk);
6
7
   if ( x = '1') then
8
       ...;
9
   else
10
       ...;
11
   end if;
12
13
   if (y ='1') then
14
       ...;
15
   else
16
       ...;
17
   end if;
18
19
   if (z = '1') then
20
       ...;
21
   else
22
       ...;
23
   end if;
24
25
end process XY;
26
27
-- Rest des Programms ...

Gibt es da einen Unterschied zwsichen der Abarbeitung in der Simulation 
und der synthetisierten Schaltung auf dem Device?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Gibt es da einen Unterschied zwsichen der Abarbeitung in der Simulation
> und der synthetisierten Schaltung auf dem Device?
Jein.
Wenn du in der Simulation im Einzelschritt durchsteppst, dann hast du 
inkonsistente Werte, weil du praktisch die steigende Flanke beliebig 
lang machst.

Wenn du die Simulation aber einfach laufen lässt, werden alle Werte 
berechnet, und dann beim nächsten wait zugewiesen. Du siehst dann also 
keinen Unterschied zur realen Hardware.

> Werden diese IF-Zweige dann alle gleichzeitig verarbeitet, also wieder
> parallel oder nacheinander gestartet?
In der Hardware werden sie parallel berechnet.
In der Simulation natürlich hintereinander, die finale Zuweisung erfolgt 
beim nächsten wait bzw. am Ende des Prozesses, wenn der kein wait 
sondern eine Sensitiv-Liste hat.

von SilentBob (Gast)


Lesenswert?

@ Lothar

DANKE! Hab auch gerade deine Site gefunden. Seeehr Hilfreich, werd ich 
mich mal durcharbeiten.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.