Forum: FPGA, VHDL & Co. VHDL lernen und richtig eingeben


von VHDL Anfänger (Gast)


Lesenswert?

Gibt es einen Code Checker, der prüft, ob die VHDL richtig geht, also 
richtig ablaufen tut?

nicht compliliert,

von zachso (Gast)


Lesenswert?

bitte was willst du haben? ghdl z.b. kann ne syntaxpruefung, falls du 
sowas meinst?

von Maik H. (littlechip)


Lesenswert?

Emacs kann verschiedene Simulations-/Syntesetools aufrufen und damit den 
Syntax kontrollieren (C-c C-k). Bei mir funtzt das mit XEmacs und 
Modeslim auch einwandfrei..

Gruss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Gibt es einen Code Checker, der prüft, ob die VHDL richtig geht, also
> richtig ablaufen tut?
Abgesehen davon, dass die Syntax passt (Syntax-Check), kann dir hier 
(zum Thema Ablauf) eigentlich nur die Verhaltenssimulation helfen ...
Hier ist das übliche Tool z.B. ModelSim.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.