Forum: FPGA, VHDL & Co. Vielleicht fehlt es noch Bibliothek?


von Michael M. (Firma: Uni Hannover) (babybk)


Lesenswert?

Wenn ich Accum und Adress als unsigned definiere,"unsigned" ist nicht 
grün,d.h Accum und Address sind nicht als "unsigned" zu verstehen.
Kann jemand mir helfen.Vielen Dank!

Das ist meine Code.


library IEEE;
  use IEEE.std_logic_1164.all;
  use IEEE.numeric_std.all;
Entity DDFS is
   Port ( CLK       : in  std_logic;
          Freq_Data : in  std_logic_vector (7 downto 0);
          Dout      : out std_logic_vector (7 downto 0)
        );
   end DDFS;

Architecture RTL of DDFS is
  signal Result  : std_logic_vector (7 downto 0);
  signal Accum   : unsigned (20 downto 0) := (others=>'0');
  alias  Address : unsigned (6 downto 0) is Accum(Accum'high-1 downto 
Accum'high-7);
  alias  Sign    : std_logic is Accum(Accum'high); -- MSB

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> "unsigned" ist nicht grün
Da fehlt nur das Keyword für den Syntax-Highlighter.
Das ist schlichtweg egal.
Das letzte Wort hat die Synthese bzw. der Simulator.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.