Forum: FPGA, VHDL & Co. Integrator in VHDL


von giggi (Gast)


Lesenswert?

Hallo ich hoffe, dass jemand von euch mir helfen kann. Wie wird ein 
Integrator in VHDL realisiert (zum implementieren in FPGA)? Danke.

von Antti Lukats (Gast)


Lesenswert?

abhanging von anwendung

von giggi (Gast)


Lesenswert?

nur abhängig von der Anwendung sonst nicht? ich würde gerne so eine art 
von logischen Baustein in FPGA implementieren, geht das?

von Lademann (Gast)


Lesenswert?

Ein Integrator ist ein Summierer, oder?

INT_VALUE_NEW <= INT_VALUE_OLD + DIFF;

un getaktet noch: INT_VALUE_OLD <= INT_VALUE_NEW; (der Zeitsprung)

Feddisch

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.