Forum: FPGA, VHDL & Co. Block RAM lesen Beispiel (Verbesserungsvorschläge)


von Kr2 K. (kr2)


Lesenswert?

hallo,

ich verwende die ISE 10.1 von Xilinx und auch deren FPGA. Hab hier mal 
einen Teil aus meiner FSM, um Daten aus dem Block RAM des FPGA zu lesen. 
Der Code-ausschnitt funktioniert so, jetzt wollte ich eure Meinung 
wissen ob das vom Beschreibungsstiel her passt, oder ob es bessere 
Möglichkeiten gibt.
1
when addrgen =>
2
   ain_s <= firstaddr;
3
   ramaddr <= firstramaddr;
4
   state <= addrinc;
5
      
6
when addrinc =>
7
   ain_s <= ain_s + 1;
8
   state <= read;
9
        
10
when read =>
11
   ram(ramaddr) <= din;
12
   ramaddr <= ramaddr + 1;
13
   if ramaddr >= lastaddr then
14
      state <= nextstate;
15
   else
16
      state <= addrinc;
17
   end if;

Danke im Voraus.

mfg
kr2

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> um Daten aus dem Block RAM des FPGA zu lesen
Damit ein Blockram verwendet wird, reicht es aus, die Adresse zu takten. 
Beispiele dazu im xst.pdf oder dort: 
http://www.lothar-miller.de/s9y/archives/20-RAM.html#extended

Weil du das ganze in einer SM machst, ist das RAM getaktet und du 
erhältst ein BRAM. Aber zum Weiterschalten der Adresse wäre keine SM 
nötig, dafür reicht ein Zähler...

Prinzipiell wäre das also kompakter schreibbar, wenn du aber sowieso 
eine SM hast, und dies nur ein Teil davon ist, geht das schon in 
Ordnung...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.