Forum: FPGA, VHDL & Co. std_logic_vector(0 downto 0)


von honeyleabe (Gast)


Lesenswert?

i habe so definiert datentype:std_logic_vector(n-1 downto 0)
wenn n= 1 dann wie mache man das problem?

MFG
Dong

von ich (Gast)


Lesenswert?

wenn n=1 mache so:
datentype:std_logic

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> wie mache man das problem?
Dann mußt du es nicht mehr machen, dann hast du es schon ;-)

http://www.lothar-miller.de/s9y/archives/59-Vektor-0-downto-0.html

von honeyleabe (Gast)


Lesenswert?

to Lothar Miller
Danke ,es schafft.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.