Forum: FPGA, VHDL & Co. Konvertierung für hochohmig


von Steffi (Gast)


Lesenswert?

Hallo,

gibt es in einer Standard-Bib eine Funktion, um aus 'Z' eine '1' zu 
machen ?

hintergrund: Der Ausgang eines Sample-FFs soll nicht 'Z' sondern '1' 
weitergeben, sofern ein 'Z' vorne anliegt. (Simulation!)

Es gibt doch soetwas ähnliches für 'H' --> '1' :  to_X01

VG,
Steffi

von Duke Scarring (Gast)


Lesenswert?

Mir ist nichts bekannt, aber schreib Dir doch selbst was:
1
-- untested
2
library ieee;
3
use ieee.std_logic_1164.all;
4
5
entity pullup is
6
  port (
7
   i : in  std_logic;
8
   o : out std_logic
9
  );
10
end entity pullup;
11
12
architecture rtl of pullup is
13
begin
14
  o <= i and 'H';
15
end architecture rtl;
16
-- untested

Duke

von nixda (Gast)


Lesenswert?

hi,

ich nehme mal an, das signal mit 'Z' ist resolved?! dann sollte es gehen 
einfach dieses zusaetzlich mit einem 'H' zu treiben. das signal resolved 
dann nicht mehr zu 'Z' wenn kein wirklicher 01 treiber da ist, sondern 
zu "weak-1" also 'H'. 'H' muesste automatisch wie '1' behandelt werden.

/mfg

von Georg A. (Gast)


Lesenswert?

Geht nur nicht, wenn das Signal von einem Input-Only-Pin kommt. Dann 
muss man das über ein internes Signal regeln.

Man könnte auch ganz "aussen" die 'H'-Zuweisung machen, simuliert halt 
effektiv Pullups am Bus.

von Steffi (Gast)


Lesenswert?

Hi,

danke für eure Vorschläge. Werde die Variante mit dem zusätzlichen 'H' 
verwenden.

VG,
Steffi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.