Forum: FPGA, VHDL & Co. Array type mapping


von bennelli (Gast)


Lesenswert?

Hallo zusammen!

Angenommen ich definiere folgenden Type:

type byte is array (natural range <>) of std_logic_vector(7 downto 0);


Und ich habe folgende Signale:

signal stest_a : byte(15 downto 0);
signal stest_b : std_logic_vector(127 downto 0);


Wie kann ich nun das Signal stest_a vergleichen mit stest_b ?
Möglichst in einer For Schleife ?

Also so

if stest_a(15) = stest_b(127 downto 121) then
..
..
end if;

Gibt es eine Möglichkeit zu sagen, dass stest_b dem type von stest_a
entspricht ?
Ich komm nicht drauf...


Grüße,
Benni

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Möglichst in einer For Schleife ?
1
 process (stest_a,stest_b) begin
2
   for i in 0 to 15 loop
3
      if stest_a(i) = stest_b(((i+1)*8)-1 downto (i*8)) then
4
         ...
5
      end if;
6
   end loop;
7
 end process;

Das gibt aber einen Monstervergleicher...  :-o

> Gibt es eine Möglichkeit zu sagen, dass stest_b dem type von stest_a
> entspricht ?
Nein. Ist ja auch nicht der Fall.

von bennelli (Gast)


Lesenswert?

mmmh, sowas in in der Art habe ich mir schon gedacht.
dann muss ich mir wohl etwas anderes einfallen lassen.

Trotzdem Danke!


Grüße,
Benni

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.